资讯专栏INFORMATION COLUMN

MSP430单片机,OLED,独立按键,DS18B20温度传感器,继电器,抽风机,LED灯构成的电子

BingqiChen / 909人阅读

摘要:当温度感应器感应到设备主体内部的温度高于设定值时,此时温度设定器会控制风机进行启动,对内部进行散热处理,低于设定值时停止。设定的时间间隔温度阈值,当前温度由显示,表示工作状态。为继电器,,,供电。必须手动清除,否则将持续引发中断。

目录

一、 设计要求

二、 总体设计和系统框图

三、设计方案

 四、电路原理总图

五、软件设计主程序及子程序 



一、 设计要求

 通过对时间定时控制器和温度设定器进行设定,然后当达到时间定时控制器设定的开启值时,离子风机和抽风机启动,然后对设备主体内部进行散热和除尘作用,通过离子风机吹出的离子风可以对内部进行防静电处理,然后通过抽风机使内部的灰尘吸走,当达到设定的关闭值时,离子风机和抽风机关闭。当温度感应器感应到设备主体内部的温度高于设定值时,此时温度设定器会控制风机进行启动,对内部进行散热处理,低于设定值时停止。(设定的时间间隔,温度阈值,当前温度由OLED显示,LED表示工作状态。)

二、 总体设计和系统框图

三、设计方案

1. DS18B20:温度检测采用 DS18B20 温度传感器数据经过单片机处理后,与用户设定(键盘能修改)的温度上限(Tmax)

当前温度>Tmax: 控制风机进行启动

2. 定时器:时间到开启值(开启时长),离子风机(继电器1闭合)和抽风机启动(继电器2闭合)时间到关闭值(关闭时长)离子风机(继电器1打开)和抽风机启动(继电器2打开)

3.独立按键

S1:选择按键

  

S2:为“加”功能键

S3:为“减”功能键。

4.OLED

(1) 完成设置,显示当前温度,开启时长,关闭时长,温度上限

(2) 温度上限设置显示

(3) 时间间隔设置(开启时长设置,关闭时长设置)

(4) 显示工作模式(手动、自动)

5.LED

(1)LED4表示是否超温

(2) LED3表示离子风机

(3) LED2表示抽风机

6.电源

(1) 3V3为单片机提供电源。

(2) 5V为继电器,OLED,LED,DS18B20供电。

 四、电路原理总图

五、软件设计主程序及子程序 

#include #include "oled.h"#include "jidianqi.h"#include "led.h"#include "ds18b20.h"void P2_IODect();void P20_Onclick();		void P21_Onclick();	void P22_Onclick();	void GPIO_init();void WDT_init();void xianshi();unsigned int wendu;unsigned char m=0,s=0,n=0,h=0,t=0,i=0,j=0,jiange=2;unsigned char chixushijian=2,moshi=4,flaglizi=0,flagchoufenji=0;//moshi=0当前温度moshi=1设置上限 moshi=2设置开启时长单位分钟 moshi=3设置关闭时长单位小时moshi=4定时、手动模式unsigned char wendumax=30;int main( void ){  WDTCTL = WDTPW + WDTHOLD;  GPIO_init();			//IO初始化  __enable_interrupt();		//使能总中断  WDT_init();						//开总中断  OLED_Init();		//初始化OLED   choufenledoff();  liziledoff();  while(1)   {   if(moshi!= 4)    {      if(wendu>wendumax){chaowenledon();lizion();choufenon();}    else {chaowenledoff();lizioff();choufenoff();}}        if(j==0){j++;xianshi();} wendu=get_one_temperature();   }}void WDT_init(){	//-----设定WDT为1000ms中断-----	WDTCTL=WDT_ADLY_1000;	//-----WDT中断使能-----    IE1|=WDTIE;}#pragma vector=WDT_VECTOR__interrupt void WDT_ISR(void){ if(moshi==0){ OLED_ShowNum(70,0,wendu,3,16);}  s++;if(s==7){s=0;m++;t--;}   if(m==60){m=0;h++;} if(moshi==4) { if((h==jiange)&&(i==0))  {    i++;    lizion();    choufenon();    t=chixushijian+2;  }  if(t==2){choufenoff();lizioff();h=0;i=0;t=0;}}  if(h==255){h=0;t=0;}}/****************************************************************************************************** * 名       称:GPIO_Init() * 功       能:设定按键和LED控制IO的方向,启用按键IO的上拉电阻 * 入口参数:无 * 出口参数:无 * 说       明:无 * 范       例:无 ******************************************************************************************************/void GPIO_init(){	//-----配置中断参数-----	P2DIR &= ~(BIT0+BIT1+BIT2);                    // 设为输入(可省略)	P2IES |= (BIT0+BIT1+BIT2);                          // 设为下降沿中断	P2IE  |= (BIT0+BIT1+BIT2);                          // 允许中断}/****************************************************************************************************** * 名       称:PORT2_ISR() * 功       能:响应P2口的外部中断服务 * 入口参数:无 * 出口参数:无 * 说       明:P2.0~P2.8共用了PORT1中断,所以在PORT2_ISR()中必须查询标志位P2IFG才能知道 * 					 具体是哪个IO引发了外部中断。P1IFG必须手动清除,否则将持续引发PORT2中断。 * 范       例:无 ******************************************************************************************************/#pragma vector = PORT2_VECTOR__interrupt void PORT2_ISR(void){		P2_IODect();								//检测通过,则会调用事件处理函数   	P2IFG=0;                            			//退出中断前必须手动清除IO口中断标志}/****************************************************************************************************** * 名       称:P2_IODect() * 功       能:判断具体引发中断的IO,并调用相应IO的中断事件处理函数 * 入口参数:无 * 出口参数:无 * 说       明:该函数兼容所有8个IO的检测,请根据实际输入IO激活“检测代码”。 * 				 * 范       例:无 ******************************************************************************************************/void P2_IODect(){	unsigned int Push_Key=0;	//-----排除输出IO的干扰后,锁定唯一被触发的中断标志位-----	Push_Key=P2IFG&(0x07);	//-----延时一段时间,避开机械抖动区域-----	__delay_cycles(10000);							//消抖延时	//----判断按键状态是否与延时前一致-----	if((P2IN&Push_Key)==0) 	                	//如果该次按键确实有效   {	 //----判断具体哪个IO被按下,调用该IO的事件处理函数-----	  switch(Push_Key){	 case BIT0:	P20_Onclick();		break;	 case BIT1: 	P21_Onclick();		break;	 case BIT2: 	P22_Onclick();		break;	 default:									break;		//任何情况下均加上default	 }   }}/****************************************************************************************************** * 名       称:P_Onclick() * 功       能:P1.3的中断事件处理函数,即当键被按下后,下一步干什么 * 入口参数:无 * 出口参数:无 * 说       明:使用事件处理函数的形式,可以增强代码的移植性和可读性 * 范       例:无 ******************************************************************************************************/void P20_Onclick()//模式转换moshi=0当前温度moshi=1设置上限 moshi=2设置开启时长单位分钟 moshi=3设置关闭时长单位小时KEY3{  if(moshi==4){moshi=0;OLED_Clear();xianshi();}  else  if(moshi==0){moshi=1;OLED_Clear();xianshi();}  else  if(moshi==1){moshi=2;OLED_Clear();xianshi();}  else  if(moshi==2){moshi=3;OLED_Clear();xianshi();}  else  if(moshi==3){moshi=4;OLED_Clear();xianshi();}  else  if(moshi==4){moshi=0;OLED_Clear();xianshi();}}void P21_Onclick()//数值++KEY1{  if(moshi==4){Set_Bit(P2DIR,BIT3);P2OUT ^= BIT3;  Set_Bit(P1DIR,BIT5);P1OUT ^= BIT5;}else if(moshi==1)  {    wendumax++;    if(wendumax==255)wendumax=0;   OLED_ShowNum(70,4,wendumax,3,16);      }else if(moshi==2)  {    chixushijian++;    if(chixushijian==255)chixushijian=0;    OLED_ShowNum(70,4,chixushijian,3,16);        }else if(moshi==3)  {    jiange++;    if(jiange==255)jiange=0;    OLED_ShowNum(70,4,jiange,3,16);        }}void P22_Onclick()//数值--KEY2{  if(moshi==4){Set_Bit(P2DIR,BIT4);P2OUT ^= BIT4; Set_Bit(P1DIR,BIT7);P1OUT ^= BIT7;}else  if(moshi==1)  {       if(wendumax==0)wendumax=1;     wendumax--;     OLED_ShowNum(70,4,wendumax,3,16);   }else if(moshi==2)  {        if(chixushijian==0)chixushijian=1;    chixushijian--;    OLED_ShowNum(70,4,chixushijian,3,16);    }else  if(moshi==3)  {    if(jiange==0)jiange=1;    jiange--;      OLED_ShowNum(70,4,jiange,3,16);    }}void xianshi(){    if(moshi==4)  {    OLED_ShowChinese(18,0,39,16);//欢迎使用    OLED_ShowChinese(36,0,40,16);//    OLED_ShowChinese(54,0,41,16);//    OLED_ShowChinese(70,0,42,16);//        OLED_ShowChinese(0,4,45,16);//当前模式为    OLED_ShowChinese(18,4,46,16);//      OLED_ShowChinese(36,4,52,16);//    OLED_ShowChinese(54,4,53,16);//    OLED_ShowChinese(70,4,47,16);//                OLED_ShowChinese(0,6,48,16);//手动/定时    OLED_ShowChinese(18,6,49,16);    OLED_ShowChar(36,6,"/",16);    OLED_ShowChinese(54,6,50,16);    OLED_ShowChinese(70,6,51,16);  }    if(moshi==0)  {         OLED_ShowChinese(0,0,7,16);//当    OLED_ShowChinese(18,0,8,16);//前    OLED_ShowChinese(36,0,9,16);//温    OLED_ShowChinese(54,0,10,16);//度        OLED_ShowChinese(0,2,12,16);//上    OLED_ShowChinese(18,2,13,16);//限    OLED_ShowChinese(36,2,9,16);//温    OLED_ShowChinese(54,2,10,16);//度    OLED_ShowNum(70,2,wendumax,3,16);    OLED_ShowChinese(0,4,34,16);//打开时长    OLED_ShowChinese(18,4,35,16);//开    OLED_ShowChinese(36,4,28,16);//时    OLED_ShowChinese(54,4,36,16);//长    OLED_ShowNum(70,4,chixushijian,3,16);    OLED_ShowChinese(0,6,37,16);//关闭时长    OLED_ShowChinese(18,6,38,16);//关闭    OLED_ShowChinese(36,6,28,16);//时    OLED_ShowChinese(54,6,36,16);//长    OLED_ShowNum(70,6,jiange,3,16);}    else  if(moshi==1)//moshi=0当前温度moshi=1设置上限 moshi=2设置开启时长单位分钟 moshi=3设置关闭时长单位小时    {      OLED_ShowChinese(0,0,15,16);//设    OLED_ShowChinese(18,0,16,16);//置    OLED_ShowChinese(36,0,43,16);//单位    OLED_ShowChinese(54,0,44,16);//    OLED_ShowChinese(70,0,11,16);//      OLED_ShowChar(80,0,"C",16);    OLED_ShowChinese(0,4,9,16);//温    OLED_ShowChinese(18,4,10,16);//度    OLED_ShowChinese(36,4,12,16);//上    OLED_ShowChinese(54,4,13,16);//限    OLED_ShowNum(70,4,wendumax,3,16);}else  if(moshi==2)  {      OLED_ShowChinese(0,0,15,16);//设    OLED_ShowChinese(18,0,16,16);//置    OLED_ShowChinese(36,0,43,16);//单位    OLED_ShowChinese(54,0,44,16);//       OLED_ShowChar(80,0,"m",16);    OLED_ShowChinese(0,4,34,16);//打开时长    OLED_ShowChinese(18,4,35,16);//开    OLED_ShowChinese(36,4,28,16);//时    OLED_ShowChinese(54,4,36,16);//长    OLED_ShowNum(70,4,chixushijian,3,16);}else  if(moshi==3)  {        OLED_ShowChinese(38,0,15,16);//设    OLED_ShowChinese(56,0,16,16);//置    OLED_ShowChinese(36,0,43,16);//单位    OLED_ShowChinese(54,0,44,16);//      OLED_ShowChar(80,0,"h",16);    OLED_ShowChinese(0,4,37,16);//关闭时长    OLED_ShowChinese(18,4,38,16);//关闭    OLED_ShowChinese(36,4,28,16);//时    OLED_ShowChinese(54,4,36,16);//长    OLED_ShowNum(70,4,jiange,3,16);}       }

 注意:开发环境为IAR,大家想用各个模块添加头文件和函数文件即可

子程序

//子程序#include "ds18b20.h"#define CPU_F ( (double) 1000000)#define delay_us( x )   __delay_cycles( (long) (CPU_F * (double) x / 1000000.0) )#define delay_ms( x )   __delay_cycles( (long) (CPU_F * (double) x / 1000.0) )/************************************************ ** 函数名称 : void reset(void) ** 函数功能 : ds18b20复位函数 ** 输    入 : 无 ** 输    出 : 无 ** 说    明 : ************************************************/void reset(void){    DQ_out; /* 先设为输入口 */    DQ_0; /* 主机发送复位脉冲,强制拉DQ至低电平 */    delay_us(750); /* 延时500vs */    DQ_1;    delay_us(15);}//等待DS18B20的回应//返回1:未检测到DS18B20的存在//返回0:存在unsigned char DS18B20_Check(void){    unsigned char retry = 0;    DQ_in; //SET PA0 INPUT    while (DQ_val && retry < 200)    {        retry++;        delay_us(1);    };    if (retry >= 200)        return 1;    else        retry = 0;    while (!DQ_val && retry < 240)    {        retry++;        delay_us(1);    };    if (retry >= 240)        return 1;    return 0;}//从DS18B20读取一个位//返回值:1/0unsigned char DS18B20_Read_Bit(void)            // read one bit{    unsigned char data;    DQ_out;            //SET PA0 OUTPUT    DQ_0;    delay_us(2);    DQ_1;    DQ_in;            //SET PA0 INPUT    delay_us(12);    if (DQ_val)        data = 1;    else        data = 0;    delay_us(50);    return data;}//从DS18B20读取一个字节//返回值:读到的数据unsigned char DS18B20_Read_Byte(void)    // read one byte{    unsigned char i, j, dat;    dat = 0;    for (i = 1; i <= 8; i++)    {        j = DS18B20_Read_Bit();        dat = (j << 7) | (dat >> 1);    }    return dat;}//写一个字节到DS18B20//dat:要写入的字节void DS18B20_Write_Byte(unsigned char dat){    unsigned char j;    unsigned char testb;    DQ_out;    //SET PA0 OUTPUT;    for (j = 1; j <= 8; j++)    {        testb = dat & 0x01;        dat = dat >> 1;        if (testb)        {            DQ_0;    // Write 1            delay_us(2);            DQ_1;            delay_us(60);        }        else        {            DQ_0;    // Write 0            delay_us(60);            DQ_1;            delay_us(2);        }    }}//开始温度转换void DS18B20_Start(void)    // ds1820 start convert{    reset();    DS18B20_Check();    DS18B20_Write_Byte(0xcc);    // skip rom    DS18B20_Write_Byte(0x44);    // convert}/* 读取温度 */unsigned int get_one_temperature(void){    unsigned int Temp_l = 0, Temp_h = 0, Temp = 0;       reset();    DS18B20_Check();    DS18B20_Write_Byte(0xcc);       DS18B20_Write_Byte(0xbe);                    // convert    Temp_l = DS18B20_Read_Byte(); // LSB    Temp_h = DS18B20_Read_Byte(); // MSB    DS18B20_Start();                    // ds1820 start convert        	Temp=(Temp_l>>4)|(Temp_h<<4);	    return (Temp); /* Temp是整型 */}#ifndef __DS18B20_H#define __DS18B20_H#include "io430.h"#define DQ_1 P2OUT |= BIT5#define DQ_0 P2OUT &= ~BIT5#define DQ_in   P2DIR &= ~BIT5#define DQ_out  P2DIR |= BIT5#define DQ_val  (P2IN & BIT5)unsigned int get_one_temperature(void);#endif#include"jidianqi.h"#include"oled.h"#include"led.h"void choufenon(){  Set_Bit(P2DIR,BIT3);  Set_Bit(P2OUT,BIT3);choufenledon();}void lizion(){	Set_Bit(P2DIR,BIT4);	Set_Bit(P2OUT,BIT4);        liziledon();}void choufenoff(){	Set_Bit(P2DIR,BIT3);		Clr_Bit(P2OUT,BIT3);        choufenledoff();	}void lizioff(){	Set_Bit(P2DIR,BIT4);	Clr_Bit(P2OUT,BIT4);liziledoff();	}#ifndef __jidianqi_H#define __jidianqi_Hvoid choufenon();void lizion();void choufenoff();void lizioff();#endif  #include"led.h"#include"oled.h"void liziledon()//led3{    Set_Bit(P1DIR,BIT7); Clr_Bit(P1OUT,BIT7);}void liziledoff()//led2{    Set_Bit(P1DIR,BIT7);	     Set_Bit(P1OUT,BIT7);}void choufenledoff()//led1{   Set_Bit(P1DIR,BIT5);  Set_Bit(P1OUT,BIT5);}void choufenledon(){   Set_Bit(P1DIR,BIT5);  Clr_Bit(P1OUT,BIT5);}void chaowenledon(){  Set_Bit(P1DIR,BIT6);  Clr_Bit(P1OUT,BIT6);}void chaowenledoff(){  Set_Bit(P1DIR,BIT6);  Set_Bit(P1OUT,BIT6);}//led灯显示#ifndef __LED_H#define __LED_Hvoid liziledon();//led3void liziledoff();//led2void choufenledoff();//led1void choufenledon();void chaowenledon();void chaowenledoff();#endif  #include "oled.h"#include "oledfont.h"  	 //OLED的显存//存放格式如下.//[0]0 1 2 3 ... 127	//[1]0 1 2 3 ... 127	//[2]0 1 2 3 ... 127	//[3]0 1 2 3 ... 127	//[4]0 1 2 3 ... 127	//[5]0 1 2 3 ... 127	//[6]0 1 2 3 ... 127	//[7]0 1 2 3 ... 127 			   void delay_ms(unsigned int ms){                           unsigned int a;  while(ms)  {    a=1800;    while(a--);    ms--;  }  return;}//反显函数void OLED_ColorTurn(u8 i){  if(i==0)  {    OLED_WR_Byte(0xA6,OLED_CMD);//正常显示  }  if(i==1)  {    OLED_WR_Byte(0xA7,OLED_CMD);//反色显示  }}//屏幕旋转180度void OLED_DisplayTurn(u8 i){  if(i==0)  {    OLED_WR_Byte(0xC8,OLED_CMD);//正常显示    OLED_WR_Byte(0xA1,OLED_CMD);  }  if(i==1)  {    OLED_WR_Byte(0xC0,OLED_CMD);//反转显示    OLED_WR_Byte(0xA0,OLED_CMD);  }}void OLED_WR_Byte(u8 dat,u8 cmd){	  u8 i;			    if(cmd)    OLED_DC_Set();  else     OLED_DC_Clr();		    OLED_CS_Clr();  for(i=0;i<8;i++)  {			      OLED_SCL_Clr();    if(dat&0x80)    {      OLED_SDA_Set();    }    else    {      OLED_SDA_Clr();    }    OLED_SCL_Set();    dat<<=1;     }				 		    OLED_CS_Set();  OLED_DC_Set();   	  } //坐标设置void OLED_Set_Pos(u8 x, u8 y) {   OLED_WR_Byte(0xb0+y,OLED_CMD);  OLED_WR_Byte(((x&0xf0)>>4)|0x10,OLED_CMD);  OLED_WR_Byte((x&0x0f),OLED_CMD);}   	  //开启OLED显示    void OLED_Display_On(void){  OLED_WR_Byte(0X8D,OLED_CMD);  //SET DCDC命令  OLED_WR_Byte(0X14,OLED_CMD);  //DCDC ON  OLED_WR_Byte(0XAF,OLED_CMD);  //DISPLAY ON}//关闭OLED显示     void OLED_Display_Off(void){  OLED_WR_Byte(0X8D,OLED_CMD);  //SET DCDC命令  OLED_WR_Byte(0X10,OLED_CMD);  //DCDC OFF  OLED_WR_Byte(0XAE,OLED_CMD);  //DISPLAY OFF}		   			 //清屏函数,清完屏,整个屏幕是黑色的!和没点亮一样!!!	  void OLED_Clear(void)  {    u8 i,n;		      for(i=0;i<8;i++)    {      OLED_WR_Byte (0xb0+i,OLED_CMD);    //设置页地址(0~7)    OLED_WR_Byte (0x00,OLED_CMD);      //设置显示位置—列低地址    OLED_WR_Byte (0x10,OLED_CMD);      //设置显示位置—列高地址       for(n=0;n<128;n++)OLED_WR_Byte(0,OLED_DATA);   } //更新显示}//在指定位置显示一个字符,包括部分字符//x:0~127//y:0~63				 //sizey:选择字体 6x8  8x16void OLED_ShowChar(u8 x,u8 y,u8 chr,u8 sizey){      	  u8 c=0,sizex=sizey/2;  u16 i=0,size1;  if(sizey==8)size1=6;  else size1=(sizey/8+((sizey%8)?1:0))*(sizey/2);  c=chr-" ";//得到偏移后的值  OLED_Set_Pos(x,y);  for(i=0;i#include	#include	 #define  u8 unsigned char #define  u16 unsigned int#define  u32 unsigned int#define OLED_CMD  0	//写命令#define OLED_DATA 1	//写数据#define		Set_Bit(val, bitn)		(val |= (/*1 <<*/(bitn)))		//竚琘 1#define		Clr_Bit(val, bitn)		(val &= ~(/*1<<*/(bitn)))		//竚琘 0#define		Get_Bit(val, bitn)		(val & (1<<(bitn)) )		//眔琘//----------------------------------------------------------------------------------//OLED SSD1306 SPI  时钟D0#define		OLED_SSD1306_SCL_PIN_NUM		(BIT3)      #define		OLED_SSD1306_SCL_IO_INIT		(Set_Bit(P1DIR,OLED_SSD1306_SCL_PIN_NUM))#define		OLED_SCL_Set()			        (Set_Bit(P1OUT,OLED_SSD1306_SCL_PIN_NUM))#define		OLED_SCL_Clr()				(Clr_Bit(P1OUT,OLED_SSD1306_SCL_PIN_NUM))//----------------------------------------------------------------------------------//OLED SSD1306 SPI 数据D1#define		OLED_SSD1306_SDA_PIN_NUM		(BIT4)#define		OLED_SSD1306_SDA_IO_INIT		(Set_Bit(P1DIR,OLED_SSD1306_SDA_PIN_NUM))#define		OLED_SDA_Set()				(Set_Bit(P1OUT,OLED_SSD1306_SDA_PIN_NUM))#define		OLED_SDA_Clr()			        (Clr_Bit(P1OUT,OLED_SSD1306_SDA_PIN_NUM))//----------------------------------------------------------------------------------//OLED SSD1306 复位/RES#define		OLED_SSD1306_RES_PIN_NUM		(BIT1)#define		OLED_SSD1306_RES_IO_INIT		(Set_Bit(P1DIR,OLED_SSD1306_RES_PIN_NUM))#define		OLED_RES_Set()				(Set_Bit(P1OUT,OLED_SSD1306_RES_PIN_NUM))#define		OLED_RES_Clr()				(Clr_Bit(P1OUT,OLED_SSD1306_RES_PIN_NUM))//----------------------------------------------------------------------------------//OLED SSD1306 数据/命令DC#define		OLED_SSD1306_DC_PIN_NUM			(BIT2)#define		OLED_SSD1306_DC_IO_INIT			(Set_Bit(P1DIR,OLED_SSD1306_DC_PIN_NUM))#define		OLED_DC_Set()				(Set_Bit(P1OUT,OLED_SSD1306_DC_PIN_NUM))#define		OLED_DC_Clr() 				(Clr_Bit(P1OUT,OLED_SSD1306_DC_PIN_NUM))//----------------------------------------------------------------------------------//OLED SSD1306 片选CS#define		OLED_SSD1306_CS_PIN_NUM			(BIT0)#define		OLED_SSD1306_CS_IO_INIT			(Set_Bit(P1DIR,OLED_SSD1306_CS_PIN_NUM))#define		OLED_CS_Set()				(Set_Bit(P1OUT,OLED_SSD1306_CS_PIN_NUM))#define		OLED_CS_Clr()				(Clr_Bit(P1OUT,OLED_SSD1306_CS_PIN_NUM))//----------------------------------------------------------------------------------					   //OLED控制用函数void delay_ms(unsigned int ms);void OLED_ColorTurn(u8 i);void OLED_DisplayTurn(u8 i);void OLED_WR_Byte(u8 dat,u8 cmd);void OLED_Set_Pos(u8 x, u8 y);void OLED_Display_On(void);void OLED_Display_Off(void);void OLED_Clear(void);void OLED_ShowChar(u8 x,u8 y,u8 chr,u8 sizey);u32 oled_pow(u8 m,u8 n);void OLED_ShowNum(u8 x,u8 y,u32 num,u8 len,u8 sizey);void OLED_ShowString(u8 x,u8 y,u8 *chr,u8 sizey);void OLED_ShowChinese(u8 x,u8 y,u8 no,u8 sizey);void OLED_DrawBMP(u8 x,u8 y,u8 sizex, u8 sizey,u8 BMP[]);void OLED_Init(void);#endif  	 #ifndef __OLEDFONT_H#define __OLEDFONT_H 	#include	#include	#include	/************************************6*8的点阵************************************/const unsigned char asc2_0806[][6] ={{0x00, 0x00, 0x00, 0x00, 0x00, 0x00},// sp{0x00, 0x00, 0x00, 0x2f, 0x00, 0x00},// !{0x00, 0x00, 0x07, 0x00, 0x07, 0x00},// "{0x00, 0x14, 0x7f, 0x14, 0x7f, 0x14},// #{0x00, 0x24, 0x2a, 0x7f, 0x2a, 0x12},// ${0x00, 0x62, 0x64, 0x08, 0x13, 0x23},// %{0x00, 0x36, 0x49, 0x55, 0x22, 0x50},// &{0x00, 0x00, 0x05, 0x03, 0x00, 0x00},// "{0x00, 0x00, 0x1c, 0x22, 0x41, 0x00},// ({0x00, 0x00, 0x41, 0x22, 0x1c, 0x00},// ){0x00, 0x14, 0x08, 0x3E, 0x08, 0x14},// *{0x00, 0x08, 0x08, 0x3E, 0x08, 0x08},// +{0x00, 0x00, 0x00, 0xA0, 0x60, 0x00},// ,{0x00, 0x08, 0x08, 0x08, 0x08, 0x08},// -{0x00, 0x00, 0x60, 0x60, 0x00, 0x00},// .{0x00, 0x20, 0x10, 0x08, 0x04, 0x02},// /{0x00, 0x3E, 0x51, 0x49, 0x45, 0x3E},// 0{0x00, 0x00, 0x42, 0x7F, 0x40, 0x00},// 1{0x00, 0x42, 0x61, 0x51, 0x49, 0x46},// 2{0x00, 0x21, 0x41, 0x45, 0x4B, 0x31},// 3{0x00, 0x18, 0x14, 0x12, 0x7F, 0x10},// 4{0x00, 0x27, 0x45, 0x45, 0x45, 0x39},// 5{0x00, 0x3C, 0x4A, 0x49, 0x49, 0x30},// 6{0x00, 0x01, 0x71, 0x09, 0x05, 0x03},// 7{0x00, 0x36, 0x49, 0x49, 0x49, 0x36},// 8{0x00, 0x06, 0x49, 0x49, 0x29, 0x1E},// 9{0x00, 0x00, 0x36, 0x36, 0x00, 0x00},// :{0x00, 0x00, 0x56, 0x36, 0x00, 0x00},// ;{0x00, 0x08, 0x14, 0x22, 0x41, 0x00},// <{0x00, 0x14, 0x14, 0x14, 0x14, 0x14},// ={0x00, 0x00, 0x41, 0x22, 0x14, 0x08},// >{0x00, 0x02, 0x01, 0x51, 0x09, 0x06},// ?{0x00, 0x32, 0x49, 0x59, 0x51, 0x3E},// @{0x00, 0x7C, 0x12, 0x11, 0x12, 0x7C},// A{0x00, 0x7F, 0x49, 0x49, 0x49, 0x36},// B{0x00, 0x3E, 0x41, 0x41, 0x41, 0x22},// C{0x00, 0x7F, 0x41, 0x41, 0x22, 0x1C},// D{0x00, 0x7F, 0x49, 0x49, 0x49, 0x41},// E{0x00, 0x7F, 0x09, 0x09, 0x09, 0x01},// F{0x00, 0x3E, 0x41, 0x49, 0x49, 0x7A},// G{0x00, 0x7F, 0x08, 0x08, 0x08, 0x7F},// H{0x00, 0x00, 0x41, 0x7F, 0x41, 0x00},// I{0x00, 0x20, 0x40, 0x41, 0x3F, 0x01},// J{0x00, 0x7F, 0x08, 0x14, 0x22, 0x41},// K{0x00, 0x7F, 0x40, 0x40, 0x40, 0x40},// L{0x00, 0x7F, 0x02, 0x0C, 0x02, 0x7F},// M{0x00, 0x7F, 0x04, 0x08, 0x10, 0x7F},// N{0x00, 0x3E, 0x41, 0x41, 0x41, 0x3E},// O{0x00, 0x7F, 0x09, 0x09, 0x09, 0x06},// P{0x00, 0x3E, 0x41, 0x51, 0x21, 0x5E},// Q{0x00, 0x7F, 0x09, 0x19, 0x29, 0x46},// R{0x00, 0x46, 0x49, 0x49, 0x49, 0x31},// S{0x00, 0x01, 0x01, 0x7F, 0x01, 0x01},// T{0x00, 0x3F, 0x40, 0x40, 0x40, 0x3F},// U{0x00, 0x1F, 0x20, 0x40, 0x20, 0x1F},// V{0x00, 0x3F, 0x40, 0x38, 0x40, 0x3F},// W{0x00, 0x63, 0x14, 0x08, 0x14, 0x63},// X{0x00, 0x07, 0x08, 0x70, 0x08, 0x07},// Y{0x00, 0x61, 0x51, 0x49, 0x45, 0x43},// Z{0x00, 0x00, 0x7F, 0x41, 0x41, 0x00},// [{0x00, 0x55, 0x2A, 0x55, 0x2A, 0x55},// 55{0x00, 0x00, 0x41, 0x41, 0x7F, 0x00},// ]{0x00, 0x04, 0x02, 0x01, 0x02, 0x04},// ^{0x00, 0x40, 0x40, 0x40, 0x40, 0x40},// _{0x00, 0x00, 0x01, 0x02, 0x04, 0x00},// "{0x00, 0x20, 0x54, 0x54, 0x54, 0x78},// a{0x00, 0x7F, 0x48, 0x44, 0x44, 0x38},// b{0x00, 0x38, 0x44, 0x44, 0x44, 0x20},// c{0x00, 0x38, 0x44, 0x44, 0x48, 0x7F},// d{0x00, 0x38, 0x54, 0x54, 0x54, 0x18},// e{0x00, 0x08, 0x7E, 0x09, 0x01, 0x02},// f{0x00, 0x18, 0xA4, 0xA4, 0xA4, 0x7C},// g{0x00, 0x7F, 0x08, 0x04, 0x04, 0x78},// h{0x00, 0x00, 0x44, 0x7D, 0x40, 0x00},// i{0x00, 0x40, 0x80, 0x84, 0x7D, 0x00},// j{0x00, 0x7F, 0x10, 0x28, 0x44, 0x00},// k{0x00, 0x00, 0x41, 0x7F, 0x40, 0x00},// l{0x00, 0x7C, 0x04, 0x18, 0x04, 0x78},// m{0x00, 0x7C, 0x08, 0x04, 0x04, 0x78},// n{0x00, 0x38, 0x44, 0x44, 0x44, 0x38},// o{0x00, 0xFC, 0x24, 0x24, 0x24, 0x18},// p{0x00, 0x18, 0x24, 0x24, 0x18, 0xFC},// q{0x00, 0x7C, 0x08, 0x04, 0x04, 0x08},// r{0x00, 0x48, 0x54, 0x54, 0x54, 0x20},// s{0x00, 0x04, 0x3F, 0x44, 0x40, 0x20},// t{0x00, 0x3C, 0x40, 0x40, 0x20, 0x7C},// u{0x00, 0x1C, 0x20, 0x40, 0x20, 0x1C},// v{0x00, 0x3C, 0x40, 0x30, 0x40, 0x3C},// w{0x00, 0x44, 0x28, 0x10, 0x28, 0x44},// x{0x00, 0x1C, 0xA0, 0xA0, 0xA0, 0x7C},// y{0x00, 0x44, 0x64, 0x54, 0x4C, 0x44},// z{0x14, 0x14, 0x14, 0x14, 0x14, 0x14},// horiz lines};//16*16 ASCII字符集点阵const unsigned char asc2_1608[][16]={	  {0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*" ",0*/{0x00,0x00,0x00,0xF8,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x33,0x30,0x00,0x00,0x00},/*"!",1*/{0x00,0x10,0x0C,0x06,0x10,0x0C,0x06,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*""",2*/{0x40,0xC0,0x78,0x40,0xC0,0x78,0x40,0x00,0x04,0x3F,0x04,0x04,0x3F,0x04,0x04,0x00},/*"#",3*/{0x00,0x70,0x88,0xFC,0x08,0x30,0x00,0x00,0x00,0x18,0x20,0xFF,0x21,0x1E,0x00,0x00},/*"$",4*/{0xF0,0x08,0xF0,0x00,0xE0,0x18,0x00,0x00,0x00,0x21,0x1C,0x03,0x1E,0x21,0x1E,0x00},/*"%",5*/{0x00,0xF0,0x08,0x88,0x70,0x00,0x00,0x00,0x1E,0x21,0x23,0x24,0x19,0x27,0x21,0x10},/*"&",6*/{0x10,0x16,0x0E,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*""",7*/{0x00,0x00,0x00,0xE0,0x18,0x04,0x02,0x00,0x00,0x00,0x00,0x07,0x18,0x20,0x40,0x00},/*"(",8*/{0x00,0x02,0x04,0x18,0xE0,0x00,0x00,0x00,0x00,0x40,0x20,0x18,0x07,0x00,0x00,0x00},/*")",9*/{0x40,0x40,0x80,0xF0,0x80,0x40,0x40,0x00,0x02,0x02,0x01,0x0F,0x01,0x02,0x02,0x00},/*"*",10*/{0x00,0x00,0x00,0xF0,0x00,0x00,0x00,0x00,0x01,0x01,0x01,0x1F,0x01,0x01,0x01,0x00},/*"+",11*/{0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x80,0xB0,0x70,0x00,0x00,0x00,0x00,0x00},/*",",12*/{0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x01,0x01,0x01,0x01,0x01,0x01},/*"-",13*/{0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x30,0x30,0x00,0x00,0x00,0x00,0x00},/*".",14*/{0x00,0x00,0x00,0x00,0x80,0x60,0x18,0x04,0x00,0x60,0x18,0x06,0x01,0x00,0x00,0x00},/*"/",15*/{0x00,0xE0,0x10,0x08,0x08,0x10,0xE0,0x00,0x00,0x0F,0x10,0x20,0x20,0x10,0x0F,0x00},/*"0",16*/{0x00,0x10,0x10,0xF8,0x00,0x00,0x00,0x00,0x00,0x20,0x20,0x3F,0x20,0x20,0x00,0x00},/*"1",17*/{0x00,0x70,0x08,0x08,0x08,0x88,0x70,0x00,0x00,0x30,0x28,0x24,0x22,0x21,0x30,0x00},/*"2",18*/{0x00,0x30,0x08,0x88,0x88,0x48,0x30,0x00,0x00,0x18,0x20,0x20,0x20,0x11,0x0E,0x00},/*"3",19*/{0x00,0x00,0xC0,0x20,0x10,0xF8,0x00,0x00,0x00,0x07,0x04,0x24,0x24,0x3F,0x24,0x00},/*"4",20*/{0x00,0xF8,0x08,0x88,0x88,0x08,0x08,0x00,0x00,0x19,0x21,0x20,0x20,0x11,0x0E,0x00},/*"5",21*/{0x00,0xE0,0x10,0x88,0x88,0x18,0x00,0x00,0x00,0x0F,0x11,0x20,0x20,0x11,0x0E,0x00},/*"6",22*/{0x00,0x38,0x08,0x08,0xC8,0x38,0x08,0x00,0x00,0x00,0x00,0x3F,0x00,0x00,0x00,0x00},/*"7",23*/{0x00,0x70,0x88,0x08,0x08,0x88,0x70,0x00,0x00,0x1C,0x22,0x21,0x21,0x22,0x1C,0x00},/*"8",24*/{0x00,0xE0,0x10,0x08,0x08,0x10,0xE0,0x00,0x00,0x00,0x31,0x22,0x22,0x11,0x0F,0x00},/*"9",25*/{0x00,0x00,0x00,0xC0,0xC0,0x00,0x00,0x00,0x00,0x00,0x00,0x30,0x30,0x00,0x00,0x00},/*":",26*/{0x00,0x00,0x00,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x80,0x60,0x00,0x00,0x00,0x00},/*";",27*/{0x00,0x00,0x80,0x40,0x20,0x10,0x08,0x00,0x00,0x01,0x02,0x04,0x08,0x10,0x20,0x00},/*"<",28*/{0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x00,0x04,0x04,0x04,0x04,0x04,0x04,0x04,0x00},/*"=",29*/{0x00,0x08,0x10,0x20,0x40,0x80,0x00,0x00,0x00,0x20,0x10,0x08,0x04,0x02,0x01,0x00},/*">",30*/{0x00,0x70,0x48,0x08,0x08,0x08,0xF0,0x00,0x00,0x00,0x00,0x30,0x36,0x01,0x00,0x00},/*"?",31*/{0xC0,0x30,0xC8,0x28,0xE8,0x10,0xE0,0x00,0x07,0x18,0x27,0x24,0x23,0x14,0x0B,0x00},/*"@",32*/{0x00,0x00,0xC0,0x38,0xE0,0x00,0x00,0x00,0x20,0x3C,0x23,0x02,0x02,0x27,0x38,0x20},/*"A",33*/{0x08,0xF8,0x88,0x88,0x88,0x70,0x00,0x00,0x20,0x3F,0x20,0x20,0x20,0x11,0x0E,0x00},/*"B",34*/{0xC0,0x30,0x08,0x08,0x08,0x08,0x38,0x00,0x07,0x18,0x20,0x20,0x20,0x10,0x08,0x00},/*"C",35*/{0x08,0xF8,0x08,0x08,0x08,0x10,0xE0,0x00,0x20,0x3F,0x20,0x20,0x20,0x10,0x0F,0x00},/*"D",36*/{0x08,0xF8,0x88,0x88,0xE8,0x08,0x10,0x00,0x20,0x3F,0x20,0x20,0x23,0x20,0x18,0x00},/*"E",37*/{0x08,0xF8,0x88,0x88,0xE8,0x08,0x10,0x00,0x20,0x3F,0x20,0x00,0x03,0x00,0x00,0x00},/*"F",38*/{0xC0,0x30,0x08,0x08,0x08,0x38,0x00,0x00,0x07,0x18,0x20,0x20,0x22,0x1E,0x02,0x00},/*"G",39*/{0x08,0xF8,0x08,0x00,0x00,0x08,0xF8,0x08,0x20,0x3F,0x21,0x01,0x01,0x21,0x3F,0x20},/*"H",40*/{0x00,0x08,0x08,0xF8,0x08,0x08,0x00,0x00,0x00,0x20,0x20,0x3F,0x20,0x20,0x00,0x00},/*"I",41*/{0x00,0x00,0x08,0x08,0xF8,0x08,0x08,0x00,0xC0,0x80,0x80,0x80,0x7F,0x00,0x00,0x00},/*"J",42*/{0x08,0xF8,0x88,0xC0,0x28,0x18,0x08,0x00,0x20,0x3F,0x20,0x01,0x26,0x38,0x20,0x00},/*"K",43*/{0x08,0xF8,0x08,0x00,0x00,0x00,0x00,0x00,0x20,0x3F,0x20,0x20,0x20,0x20,0x30,0x00},/*"L",44*/{0x08,0xF8,0xF8,0x00,0xF8,0xF8,0x08,0x00,0x20,0x3F,0x00,0x3F,0x00,0x3F,0x20,0x00},/*"M",45*/{0x08,0xF8,0x30,0xC0,0x00,0x08,0xF8,0x08,0x20,0x3F,0x20,0x00,0x07,0x18,0x3F,0x00},/*"N",46*/{0xE0,0x10,0x08,0x08,0x08,0x10,0xE0,0x00,0x0F,0x10,0x20,0x20,0x20,0x10,0x0F,0x00},/*"O",47*/{0x08,0xF8,0x08,0x08,0x08,0x08,0xF0,0x00,0x20,0x3F,0x21,0x01,0x01,0x01,0x00,0x00},/*"P",48*/{0xE0,0x10,0x08,0x08,0x08,0x10,0xE0,0x00,0x0F,0x18,0x24,0x24,0x38,0x50,0x4F,0x00},/*"Q",49*/{0x08,0xF8,0x88,0x88,0x88,0x88,0x70,0x00,0x20,0x3F,0x20,0x00,0x03,0x0C,0x30,0x20},/*"R",50*/{0x00,0x70,0x88,0x08,0x08,0x08,0x38,0x00,0x00,0x38,0x20,0x21,0x21,0x22,0x1C,0x00},/*"S",51*/{0x18,0x08,0x08,0xF8,0x08,0x08,0x18,0x00,0x00,0x00,0x20,0x3F,0x20,0x00,0x00,0x00},/*"T",52*/{0x08,0xF8,0x08,0x00,0x00,0x08,0xF8,0x08,0x00,0x1F,0x20,0x20,0x20,0x20,0x1F,0x00},/*"U",53*/{0x08,0x78,0x88,0x00,0x00,0xC8,0x38,0x08,0x00,0x00,0x07,0x38,0x0E,0x01,0x00,0x00},/*"V",54*/{0xF8,0x08,0x00,0xF8,0x00,0x08,0xF8,0x00,0x03,0x3C,0x07,0x00,0x07,0x3C,0x03,0x00},/*"W",55*/{0x08,0x18,0x68,0x80,0x80,0x68,0x18,0x08,0x20,0x30,0x2C,0x03,0x03,0x2C,0x30,0x20},/*"X",56*/{0x08,0x38,0xC8,0x00,0xC8,0x38,0x08,0x00,0x00,0x00,0x20,0x3F,0x20,0x00,0x00,0x00},/*"Y",57*/{0x10,0x08,0x08,0x08,0xC8,0x38,0x08,0x00,0x20,0x38,0x26,0x21,0x20,0x20,0x18,0x00},/*"Z",58*/{0x00,0x00,0x00,0xFE,0x02,0x02,0x02,0x00,0x00,0x00,0x00,0x7F,0x40,0x40,0x40,0x00},/*"[",59*/{0x00,0x0C,0x30,0xC0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x06,0x38,0xC0,0x00},/*"/",60*/{0x00,0x02,0x02,0x02,0xFE,0x00,0x00,0x00,0x00,0x40,0x40,0x40,0x7F,0x00,0x00,0x00},/*"]",61*/{0x00,0x00,0x04,0x02,0x02,0x02,0x04,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*"^",62*/{0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80},/*"_",63*/{0x00,0x02,0x02,0x04,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*"`",64*/{0x00,0x00,0x80,0x80,0x80,0x80,0x00,0x00,0x00,0x19,0x24,0x22,0x22,0x22,0x3F,0x20},/*"a",65*/{0x08,0xF8,0x00,0x80,0x80,0x00,0x00,0x00,0x00,0x3F,0x11,0x20,0x20,0x11,0x0E,0x00},/*"b",66*/{0x00,0x00,0x00,0x80,0x80,0x80,0x00,0x00,0x00,0x0E,0x11,0x20,0x20,0x20,0x11,0x00},/*"c",67*/{0x00,0x00,0x00,0x80,0x80,0x88,0xF8,0x00,0x00,0x0E,0x11,0x20,0x20,0x10,0x3F,0x20},/*"d",68*/{0x00,0x00,0x80,0x80,0x80,0x80,0x00,0x00,0x00,0x1F,0x22,0x22,0x22,0x22,0x13,0x00},/*"e",69*/{0x00,0x80,0x80,0xF0,0x88,0x88,0x88,0x18,0x00,0x20,0x20,0x3F,0x20,0x20,0x00,0x00},/*"f",70*/{0x00,0x00,0x80,0x80,0x80,0x80,0x80,0x00,0x00,0x6B,0x94,0x94,0x94,0x93,0x60,0x00},/*"g",71*/{0x08,0xF8,0x00,0x80,0x80,0x80,0x00,0x00,0x20,0x3F,0x21,0x00,0x00,0x20,0x3F,0x20},/*"h",72*/{0x00,0x80,0x98,0x98,0x00,0x00,0x00,0x00,0x00,0x20,0x20,0x3F,0x20,0x20,0x00,0x00},/*"i",73*/{0x00,0x00,0x00,0x80,0x98,0x98,0x00,0x00,0x00,0xC0,0x80,0x80,0x80,0x7F,0x00,0x00},/*"j",74*/{0x08,0xF8,0x00,0x00,0x80,0x80,0x80,0x00,0x20,0x3F,0x24,0x02,0x2D,0x30,0x20,0x00},/*"k",75*/{0x00,0x08,0x08,0xF8,0x00,0x00,0x00,0x00,0x00,0x20,0x20,0x3F,0x20,0x20,0x00,0x00},/*"l",76*/{0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x00,0x20,0x3F,0x20,0x00,0x3F,0x20,0x00,0x3F},/*"m",77*/{0x80,0x80,0x00,0x80,0x80,0x80,0x00,0x00,0x20,0x3F,0x21,0x00,0x00,0x20,0x3F,0x20},/*"n",78*/{0x00,0x00,0x80,0x80,0x80,0x80,0x00,0x00,0x00,0x1F,0x20,0x20,0x20,0x20,0x1F,0x00},/*"o",79*/{0x80,0x80,0x00,0x80,0x80,0x00,0x00,0x00,0x80,0xFF,0xA1,0x20,0x20,0x11,0x0E,0x00},/*"p",80*/{0x00,0x00,0x00,0x80,0x80,0x80,0x80,0x00,0x00,0x0E,0x11,0x20,0x20,0xA0,0xFF,0x80},/*"q",81*/{0x80,0x80,0x80,0x00,0x80,0x80,0x80,0x00,0x20,0x20,0x3F,0x21,0x20,0x00,0x01,0x00},/*"r",82*/{0x00,0x00,0x80,0x80,0x80,0x80,0x80,0x00,0x00,0x33,0x24,0x24,0x24,0x24,0x19,0x00},/*"s",83*/{0x00,0x80,0x80,0xE0,0x80,0x80,0x00,0x00,0x00,0x00,0x00,0x1F,0x20,0x20,0x00,0x00},/*"t",84*/{0x80,0x80,0x00,0x00,0x00,0x80,0x80,0x00,0x00,0x1F,0x20,0x20,0x20,0x10,0x3F,0x20},/*"u",85*/{0x80,0x80,0x80,0x00,0x00,0x80,0x80,0x80,0x00,0x01,0x0E,0x30,0x08,0x06,0x01,0x00},/*"v",86*/{0x80,0x80,0x00,0x80,0x00,0x80,0x80,0x80,0x0F,0x30,0x0C,0x03,0x0C,0x30,0x0F,0x00},/*"w",87*/{0x00,0x80,0x80,0x00,0x80,0x80,0x80,0x00,0x00,0x20,0x31,0x2E,0x0E,0x31,0x20,0x00},/*"x",88*/{0x80,0x80,0x80,0x00,0x00,0x80,0x80,0x80,0x80,0x81,0x8E,0x70,0x18,0x06,0x01,0x00},/*"y",89*/{0x00,0x80,0x80,0x80,0x80,0x80,0x80,0x00,0x00,0x21,0x30,0x2C,0x22,0x21,0x30,0x00},/*"z",90*/{0x00,0x00,0x00,0x00,0x80,0x7C,0x02,0x02,0x00,0x00,0x00,0x00,0x00,0x3F,0x40,0x40},/*"{",91*/{0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00},/*"|",92*/{0x00,0x02,0x02,0x7C,0x80,0x00,0x00,0x00,0x00,0x40,0x40,0x3F,0x00,0x00,0x00,0x00},/*"}",93*/{0x00,0x06,0x01,0x01,0x02,0x02,0x04,0x04,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*"~",94*/};  const unsigned char Hzk[][32]={{0x00,0x00,0xF0,0x10,0x10,0x10,0x10,0xFF,0x10,0x10,0x10,0x10,0xF0,0x00,0x00,0x00,0x00,0x00,0x0F,0x04,0x04,0x04,0x04,0xFF,0x04,0x04,0x04,0x04,0x0F,0x00,0x00,0x00},/*"",0*/{0x40,0x40,0x40,0x5F,0x55,0x55,0x55,0x75,0x55,0x55,0x55,0x5F,0x40,0x40,0x40,0x00,0x00,0x40,0x20,0x0F,0x09,0x49,0x89,0x79,0x09,0x09,0x09,0x0F,0x20,0x40,0x00,0x00},/*"",1*/{0x00,0xFE,0x02,0x42,0x4A,0xCA,0x4A,0x4A,0xCA,0x4A,0x4A,0x42,0x02,0xFE,0x00,0x00,0x00,0xFF,0x40,0x50,0x4C,0x43,0x40,0x40,0x4F,0x50,0x50,0x5C,0x40,0xFF,0x00,0x00},/*",2*/{0x00,0x00,0xF8,0x88,0x88,0x88,0x88,0xFF,0x88,0x88,0x88,0x88,0xF8,0x00,0x00,0x00,0x00,0x00,0x1F,0x08,0x08,0x08,0x08,0x7F,0x88,0x88,0x88,0x88,0x9F,0x80,0xF0,0x00},/*"",3*/{0x80,0x82,0x82,0x82,0x82,0x82,0x82,0xE2,0xA2,0x92,0x8A,0x86,0x82,0x80,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x40,0x80,0x7F,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},/*"",4*/{0x10,0x10,0x10,0xFF,0x10,0x90,0x08,0x88,0x88,0x88,0xFF,0x88,0x88,0x88,0x08,0x00,0x04,0x44,0x82,0x7F,0x01,0x80,0x80,0x40,0x43,0x2C,0x10,0x28,0x46,0x81,0x80,0x00},/*"",5*/{0x00,0x10,0x10,0x10,0x10,0xD0,0x30,0xFF,0x30,0xD0,0x12,0x1C,0x10,0x10,0x00,0x00,0x10,0x08,0x04,0x02,0x01,0x00,0x00,0xFF,0x00,0x00,0x01,0x02,0x04,0x08,0x10,0x00},/*"",6*//*--  文字:  当  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x40,0x42,0x44,0x58,0x40,0x40,0x7F,0x40,0x40,0x50,0x48,0xC6,0x00,0x00,0x00,0x00,0x40,0x44,0x44,0x44,0x44,0x44,0x44,0x44,0x44,0x44,0x44,0xFF,0x00,0x00,0x00},//7/*--  文字:  前  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x08,0x08,0xE8,0x29,0x2E,0x28,0xE8,0x08,0x08,0xC8,0x0C,0x0B,0xE8,0x08,0x08,0x00,0x00,0x00,0xFF,0x09,0x49,0x89,0x7F,0x00,0x00,0x0F,0x40,0x80,0x7F,0x00,0x00,0x00},//8/*--  文字:  温  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x10,0x60,0x02,0x8C,0x00,0x00,0xFE,0x92,0x92,0x92,0x92,0x92,0xFE,0x00,0x00,0x00,0x04,0x04,0x7E,0x01,0x40,0x7E,0x42,0x42,0x7E,0x42,0x7E,0x42,0x42,0x7E,0x40,0x00},//9/*--  文字:  度  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x00,0xFC,0x24,0x24,0x24,0xFC,0x25,0x26,0x24,0xFC,0x24,0x24,0x24,0x04,0x00,0x40,0x30,0x8F,0x80,0x84,0x4C,0x55,0x25,0x25,0x25,0x55,0x4C,0x80,0x80,0x80,0x00},//10/*--  文字:  °  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x00,0x0C,0x12,0x12,0x0C,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00},//11/*--  文字:  上  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x00,0x00,0x00,0x00,0x00,0xFF,0x40,0x40,0x40,0x40,0x40,0x40,0x00,0x00,0x00,0x40,0x40,0x40,0x40,0x40,0x40,0x7F,0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x00},//12/*--  文字:  限  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0xFE,0x22,0x5A,0x86,0x00,0xFE,0x92,0x92,0x92,0x92,0x92,0xFE,0x00,0x00,0x00,0x00,0xFF,0x04,0x08,0x07,0x00,0xFF,0x40,0x20,0x03,0x0C,0x14,0x22,0x41,0x40,0x00},//13/*--  文字:  下  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x02,0x02,0x02,0x02,0x02,0x02,0xFE,0x02,0x02,0x42,0x82,0x02,0x02,0x02,0x02,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0x01,0x06,0x00,0x00,0x00},//14/*--  文字:  设  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x40,0x40,0x42,0xCC,0x00,0x40,0xA0,0x9E,0x82,0x82,0x82,0x9E,0xA0,0x20,0x20,0x00,0x00,0x00,0x00,0x3F,0x90,0x88,0x40,0x43,0x2C,0x10,0x28,0x46,0x41,0x80,0x80,0x00},//15/*--  文字:  置  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x17,0x15,0xD5,0x55,0x57,0x55,0x7D,0x55,0x57,0x55,0xD5,0x15,0x17,0x00,0x00,0x40,0x40,0x40,0x7F,0x55,0x55,0x55,0x55,0x55,0x55,0x55,0x7F,0x40,0x40,0x40,0x00},//16/*--  文字:  正  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x02,0x02,0xC2,0x02,0x02,0x02,0xFE,0x82,0x82,0x82,0x82,0x82,0x02,0x00,0x00,0x40,0x40,0x40,0x7F,0x40,0x40,0x40,0x7F,0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x00},//17/*--  文字:  常  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x20,0x18,0x08,0xEA,0xAC,0xA8,0xA8,0xAF,0xA8,0xA8,0xAC,0xEA,0x08,0x28,0x18,0x00,0x00,0x00,0x3E,0x02,0x02,0x02,0x02,0xFF,0x02,0x02,0x12,0x22,0x1E,0x00,0x00,0x00},//18/*--  文字:  工  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x04,0x04,0x04,0x04,0x04,0x04,0xFC,0x04,0x04,0x04,0x04,0x04,0x04,0x00,0x00,0x20,0x20,0x20,0x20,0x20,0x20,0x20,0x3F,0x20,0x20,0x20,0x20,0x20,0x20,0x20,0x00},//19/*--  文字:  作  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x80,0x60,0xF8,0x07,0x40,0x30,0x0F,0xF8,0x88,0x88,0x88,0x88,0x08,0x08,0x00,0x01,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0xFF,0x08,0x08,0x08,0x08,0x08,0x00,0x00},//20/*--  文字:  异  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x00,0x7E,0x92,0x92,0x92,0x92,0x92,0x92,0x92,0x92,0x9E,0x80,0xE0,0x00,0x00,0x08,0x88,0x48,0x28,0x1E,0x08,0x08,0x08,0x08,0x08,0xFE,0x08,0x08,0x08,0x08,0x00},//21/*--  文字:  时  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0xFC,0x84,0x84,0x84,0xFC,0x00,0x10,0x10,0x10,0x10,0x10,0xFF,0x10,0x10,0x00,0x00,0x3F,0x10,0x10,0x10,0x3F,0x00,0x00,0x01,0x06,0x40,0x80,0x7F,0x00,0x00,0x00},//22/*--  文字:  间  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0xF8,0x01,0x06,0x00,0xF0,0x12,0x12,0x12,0xF2,0x02,0x02,0x02,0xFE,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x1F,0x11,0x11,0x11,0x1F,0x00,0x40,0x80,0x7F,0x00,0x00},//23/*--  文字:  隔  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0xFE,0x22,0x5A,0x86,0x00,0x02,0x7A,0x4A,0x4A,0x4A,0x4A,0x4A,0x7A,0x02,0x00,0x00,0xFF,0x04,0x08,0x07,0x00,0xFF,0x09,0x0B,0x0D,0x79,0x0D,0x4B,0x89,0x7F,0x00},//24/*--  文字:  单  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x00,0xF8,0x49,0x4A,0x4C,0x48,0xF8,0x48,0x4C,0x4A,0x49,0xF8,0x00,0x00,0x00,0x10,0x10,0x13,0x12,0x12,0x12,0x12,0xFF,0x12,0x12,0x12,0x12,0x13,0x10,0x10,0x00},//25/*--  文字:  位  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x80,0x60,0xF8,0x07,0x10,0x90,0x10,0x11,0x16,0x10,0x10,0xD0,0x10,0x00,0x00,0x01,0x00,0x00,0xFF,0x40,0x40,0x41,0x5E,0x40,0x40,0x70,0x4E,0x41,0x40,0x40,0x00},//26/*--  文字:  小  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x00,0x00,0xE0,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x20,0x40,0x80,0x00,0x00,0x08,0x04,0x03,0x00,0x00,0x40,0x80,0x7F,0x00,0x00,0x00,0x00,0x00,0x01,0x0E,0x00},//27/*--  文字:  时  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0xFC,0x84,0x84,0x84,0xFC,0x00,0x10,0x10,0x10,0x10,0x10,0xFF,0x10,0x10,0x00,0x00,0x3F,0x10,0x10,0x10,0x3F,0x00,0x00,0x01,0x06,0x40,0x80,0x7F,0x00,0x00,0x00},//28/*--  文字:  摄  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x10,0x10,0x10,0xFF,0x10,0x90,0x82,0xFE,0xAA,0xAA,0xAA,0xAA,0xFE,0x42,0x40,0x00,0x04,0x44,0x82,0x7F,0x81,0x42,0x2A,0x12,0x6E,0x80,0x5E,0x22,0x53,0x8E,0x80,0x00},//29/*--  文字:  氏  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x00,0xFC,0x44,0x44,0x44,0x44,0x44,0xFE,0x42,0x42,0x43,0x42,0x40,0x40,0x00,0x00,0x00,0xFF,0x40,0x20,0x00,0x00,0x00,0x01,0x06,0x08,0x10,0x20,0x40,0xF8,0x00},//30/*--  文字:  度  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x00,0xFC,0x24,0x24,0x24,0xFC,0x25,0x26,0x24,0xFC,0x24,0x24,0x24,0x04,0x00,0x40,0x30,0x8F,0x80,0x84,0x4C,0x55,0x25,0x25,0x25,0x55,0x4C,0x80,0x80,0x80,0x00},//31/*--  文字:  加  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x10,0x10,0x10,0xFF,0x10,0x10,0xF0,0x00,0x00,0xF8,0x08,0x08,0x08,0xF8,0x00,0x00,0x80,0x40,0x30,0x0F,0x40,0x80,0x7F,0x00,0x00,0x7F,0x20,0x20,0x20,0x7F,0x00,0x00},//32/*--  文字:  减 --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x02,0x0C,0xC0,0x00,0xF8,0x08,0x48,0x48,0x48,0x08,0xFF,0x08,0x09,0x8A,0x00,0x02,0x02,0x7F,0x80,0x40,0x3F,0x00,0x1E,0x92,0x5E,0x20,0x17,0x38,0x46,0xF1,0x00},//33/*--  文字:  打  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x10,0x10,0x10,0xFF,0x10,0x90,0x04,0x04,0x04,0x04,0xFC,0x04,0x04,0x04,0x04,0x00,0x04,0x44,0x82,0x7F,0x01,0x00,0x00,0x00,0x40,0x80,0x7F,0x00,0x00,0x00,0x00,0x00},//34/*--  文字:  开  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x80,0x82,0x82,0x82,0xFE,0x82,0x82,0x82,0x82,0x82,0xFE,0x82,0x82,0x82,0x80,0x00,0x00,0x80,0x40,0x30,0x0F,0x00,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0x00},//35/*--  文字:  长  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x80,0x80,0x80,0x80,0xFF,0x80,0x80,0xA0,0x90,0x88,0x84,0x82,0x80,0x80,0x80,0x00,0x00,0x00,0x00,0x00,0xFF,0x40,0x21,0x12,0x04,0x08,0x10,0x20,0x20,0x40,0x40,0x00},//36/*--  文字:  关  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x00,0x10,0x11,0x16,0x10,0x10,0xF0,0x10,0x10,0x14,0x13,0x10,0x00,0x00,0x00,0x81,0x81,0x41,0x41,0x21,0x11,0x0D,0x03,0x0D,0x11,0x21,0x41,0x41,0x81,0x81,0x00},//37/*--  文字:  闭  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0xF8,0x01,0x22,0x20,0x22,0x22,0xA2,0xFA,0x22,0x22,0x22,0x02,0xFE,0x00,0x00,0x00,0xFF,0x00,0x08,0x04,0x02,0x11,0x20,0x1F,0x00,0x00,0x40,0x80,0x7F,0x00,0x00},//38/*--  文字:  欢  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x04,0x24,0x44,0x84,0x64,0x9C,0x40,0x30,0x0F,0xC8,0x08,0x08,0x28,0x18,0x00,0x00,0x10,0x08,0x06,0x01,0x82,0x4C,0x20,0x18,0x06,0x01,0x06,0x18,0x20,0x40,0x80,0x00},//39/*--  文字:  迎  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x40,0x40,0x42,0xCC,0x00,0x00,0xFC,0x04,0x02,0x00,0xFC,0x04,0x04,0xFC,0x00,0x00,0x00,0x40,0x20,0x1F,0x20,0x40,0x4F,0x44,0x42,0x40,0x7F,0x42,0x44,0x43,0x40,0x00},//40/*--  文字:  使  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x80,0x60,0xF8,0x07,0x04,0xE4,0x24,0x24,0x24,0xFF,0x24,0x24,0x24,0xE4,0x04,0x00,0x00,0x00,0xFF,0x00,0x80,0x81,0x45,0x29,0x11,0x2F,0x41,0x41,0x81,0x81,0x80,0x00},//41/*--  文字:  用  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x00,0xFE,0x22,0x22,0x22,0x22,0xFE,0x22,0x22,0x22,0x22,0xFE,0x00,0x00,0x00,0x80,0x60,0x1F,0x02,0x02,0x02,0x02,0x7F,0x02,0x02,0x42,0x82,0x7F,0x00,0x00,0x00},//42/*--  文字:  单  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x00,0xF8,0x49,0x4A,0x4C,0x48,0xF8,0x48,0x4C,0x4A,0x49,0xF8,0x00,0x00,0x00,0x10,0x10,0x13,0x12,0x12,0x12,0x12,0xFF,0x12,0x12,0x12,0x12,0x13,0x10,0x10,0x00},//43/*--  文字:  位  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x80,0x60,0xF8,0x07,0x10,0x90,0x10,0x11,0x16,0x10,0x10,0xD0,0x10,0x00,0x00,0x01,0x00,0x00,0xFF,0x40,0x40,0x41,0x5E,0x40,0x40,0x70,0x4E,0x41,0x40,0x40,0x00},//44/*--  文字:  当  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x40,0x42,0x44,0x58,0x40,0x40,0x7F,0x40,0x40,0x50,0x48,0xC6,0x00,0x00,0x00,0x00,0x40,0x44,0x44,0x44,0x44,0x44,0x44,0x44,0x44,0x44,0x44,0xFF,0x00,0x00,0x00},//45/*--  文字:  前  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x08,0x08,0xE8,0x29,0x2E,0x28,0xE8,0x08,0x08,0xC8,0x0C,0x0B,0xE8,0x08,0x08,0x00,0x00,0x00,0xFF,0x09,0x49,0x89,0x7F,0x00,0x00,0x0F,0x40,0x80,0x7F,0x00,0x00,0x00},//46/*--  文字:  为  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x20,0x22,0x2C,0x20,0x20,0xE0,0x3F,0x20,0x20,0x20,0x20,0xE0,0x00,0x00,0x00,0x80,0x40,0x20,0x10,0x08,0x06,0x01,0x00,0x01,0x46,0x80,0x40,0x3F,0x00,0x00,0x00},//47/*--  文字:  手  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0x00,0x24,0x24,0x24,0x24,0x24,0xFC,0x22,0x22,0x22,0x23,0x22,0x00,0x00,0x00,0x02,0x02,0x02,0x02,0x02,0x42,0x82,0x7F,0x02,0x02,0x02,0x02,0x02,0x02,0x02,0x00},//48/*--  文字:  动  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x40,0x44,0xC4,0x44,0x44,0x44,0x40,0x10,0x10,0xFF,0x10,0x10,0x10,0xF0,0x00,0x00,0x10,0x3C,0x13,0x10,0x14,0xB8,0x40,0x30,0x0E,0x01,0x40,0x80,0x40,0x3F,0x00,0x00},//49/*--  文字:  定  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x10,0x0C,0x44,0x44,0x44,0x44,0x45,0xC6,0x44,0x44,0x44,0x44,0x44,0x14,0x0C,0x00,0x80,0x40,0x20,0x1E,0x20,0x40,0x40,0x7F,0x44,0x44,0x44,0x44,0x44,0x40,0x40,0x00},//50/*--  文字:  时  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x00,0xFC,0x84,0x84,0x84,0xFC,0x00,0x10,0x10,0x10,0x10,0x10,0xFF,0x10,0x10,0x00,0x00,0x3F,0x10,0x10,0x10,0x3F,0x00,0x00,0x01,0x06,0x40,0x80,0x7F,0x00,0x00,0x00},//51/*--  文字:  模  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x10,0x10,0xD0,0xFF,0x90,0x14,0xE4,0xAF,0xA4,0xA4,0xA4,0xAF,0xE4,0x04,0x00,0x00,0x04,0x03,0x00,0xFF,0x00,0x89,0x4B,0x2A,0x1A,0x0E,0x1A,0x2A,0x4B,0x88,0x80,0x00},//52/*--  文字:  式  --*//*--  宋体12;  此字体下对应的点阵为:宽x高=16x16   --*/{0x10,0x10,0x90,0x90,0x90,0x90,0x90,0x10,0x10,0xFF,0x10,0x10,0x11,0x16,0x10,0x00,0x00,0x20,0x60,0x20,0x3F,0x10,0x10,0x10,0x00,0x03,0x0C,0x10,0x20,0x40,0xF8,0x00},//53};//44#endif

文章版权归作者所有,未经允许请勿转载,若此文章存在违规行为,您可以联系管理员删除。

转载请注明本文地址:https://www.ucloud.cn/yun/119762.html

相关文章

  • 基于片机智能家居控制系统

    摘要:中控部分采用了单片机,其主要作用是获取输入部分数据,经过内部处理,控制输出部分。 设计简介: 本设计是基于单片机的智能家居控制系统,主要实现以下功能: 可通过DS18B20实时测量环境温度温度具有上下限,自动模式下温度超出限值,GMS发送短信温度上下限通过手机蓝牙设置系统可通过手机蓝牙、红外...

    zacklee 评论0 收藏0
  • 51片机学习笔记001-----51片机基础构造浅介绍

    摘要:单片机在一片集成电路芯片上集成微处理器存储器接口电路,从而构成了单芯片微型计算机,即单片机。没搞懂专栏咋弄的,慢慢摸索吧,本人纯新手,读书人的事没啥要脸不要脸的,有问题随便指出,随便喷,欢迎来指点 2021-9-25 自己手绘的一张图,算是简单的介绍吧  对照实图看一下        ...

    int64 评论0 收藏0
  • 基于51片机PID水温控制系统

    摘要:一硬件方案本设计主要以单片机系统进行温度采集与控制温度信号由数字温度传感器采集,主控器主动获取传感器温度值,通过算法,与设置温度进行计算,输出继电器的控制状态,并在显示屏进行显示。 ...

    J4ck_Chan 评论0 收藏0
  • 基于蓝牙超声波无线测距系统

    摘要:设计简介本设计是基于蓝牙的超声波无线测距的设计,主要实现以下功能实现通过测量当前温度值实现通过温差补偿法公式修改超声波在当前空气中的传播速度实现通过超声波传感器测量距离值。 设计简介: 本设计是基于蓝牙的超声波无线测距的设计,主要实现以下功能: ① 实现通过DS18B20测量当前温度值 ② ...

    zxhaaa 评论0 收藏0
  • 【毕业设计】【片机俱乐部】基于片机GSM无线防火防盗设计【仿真设计】

    摘要:资料预览仿真图总体资料原理图软件设计流程系统框图本设计以单片机为核心控制器,加上其他的模块一起组成温度控制的整个系统,其中包含中控部分输入部分和输出部分。中控部分采用了单片机,其主要作用是获取输入部分数据,经过内部处理,控制输出部分。 ...

    dockerclub 评论0 收藏0

发表评论

0条评论

最新活动
阅读需要支付1元查看
<